One of the overriding central messages to TSMC’s Technology Symposium this week is that the company is a world leader in semiconductor manufacturing, especially at the leading edge process technology. To further hit the message home, TSMC showcased a slide indicating where it stands in relation to others: by using a combination of public ASML statements and their own internal purchase sheets, TSMC predicts that they have ~50% of all the active EUV machines installed worldwide. Beyond that, the company also has a number of ~60% for cumulative EUV wafer production.

Current known public EUV processes from the big fabs include TSMC’s 7+ and N5, as well as Samsung’s 7LPP (and anything below), with Intel’s EUV efforts only entering in its own 7nm portfolio next year. Anything beyond these processes at the leading edge will continue to extend EUV use. EUV machines typically have a lower throughput, anywhere from 120-175 wafers per hour, than regular DUV machines which can reach 275 wph on the latest versions, however since 1 layer of EUV typically replaces 3-4 layers of DUV, the throughput is higher, but nonetheless the desire to scale out to multiple EUV machines to increase the physical number of wafers is a keen target for these foundries.

The only company that makes EUV machines is ASML, and the company publically announces how many machines it sells each year. The details are as follows:

ASML's EUV Shipments
  2015 2016 2017 2018 2019 2020 2021
Actual 2 4 10 3 4 5 6 4 7 7 8 4 9 - - -
Target - - - 20 30 35 45-50
2018 and beyond is split per quarter for actual shipped numbers
Data taken from ASML's Financial Reports

Note that each year so far, ASML hasn’t quite hit its targets, but has done near enough, although sales in Q1 2020 were lower than I would expect, indicating that by end of Q2 2020, ASML has only shipped 13 out of the proposed 35 systems. These numbers include all the different types of Twinscan NXE machines that ASML has built, with the more recent ones having better throughput (and sometimes the older ones get retrofitted). As of the end of Q2 2020, we predict that ASML has shipped around 71 of these EUV machines, and will likely hit 90 by the end of 2020. Some observers have noted that ASML may have a backlog of as many as 49 EUV scanner orders, even with these shipment targets.

If ASML has shipped 71 machines, that would mean, according to TSMC’s numbers, the company has around 30-35. Note that TSMC’s numbers are for ‘Installed EUV’ machines – we learned from our trip to GlobalFoundries in Q1 2018 that it takes up to 6 months from getting the parts to calibrating the machine for use. At present, some of these foundries therefore have EUV machines sitting around waiting to be installed, or in the case of Intel, perhaps only in use for early testing or pre-risk trials. We know that GlobalFoundries had two early EUV machines, installed one, but ended up selling both when it decided not to pursue leading edge 7nm, and SMIC ordered one but as far as we know it wasn’t installed due to restrictions imposed by the US.

As TSMC grows its Fab 18 for N5 production, and ramps its EUV integration, it will be interesting to see if TSMC is ever limited by the number of machines it has. At some point Intel is going to want to buy a number when it deploys its 7nm processes (I’ve seen predictions that Intel has at least ~10 machines already, but I can’t confirm that) as well, so there might be a tussle for who gets their order delivered first.

One thing is for sure however, ASML is sitting pretty right in the middle with a monopoly on everything. I still have an invite to visit one of their EUV machine factories in Connecticut, which when the COVID mess is all over I intend to follow up on. It should be exciting.

Related Reading

Comments Locked

32 Comments

View All Comments

  • mczak - Thursday, August 27, 2020 - link

    As for 1), Nikon sells 193nm (ArF) immersion scanners suitable for 7nm process technology. At a glance they look comparable to me to what ASML is selling, same resolution etc., but ASML has 90% or so market share there.
    As for 2) Nope. It has to be said EUV lithography was in development for well over 20 years (and talked about for like 30 years) at various places, and Nikon was investing in it too, but seems to have abandoned those plans quite a while ago.
    FWIW it's actually not just ASML alone which has a monopoly - key parts of these machines come from Zeiss (optics) and TRUMPF (laser) which aren't exactly typical off-the-shelf parts.
  • Santoval - Saturday, August 29, 2020 - link

    It depends on how you define "vendors". ASML are the only company that sell complete EUV machines, i.e. the deliver the entire package, ready to roll. However they do not make the entire thing themselves. Arguably the most important elements of the scanner are the optics and the EUV light source. Both are made by subcontractors. The optics by Zeiss and the light source by Cymer.
    EUV masks are also made by plenty of vendors, including ASML.

    Yes, there are other DUV vendors, and I mean for the complete package. I think the only surviving ones are Nikon and Canon. Before ASML even existed these two were the big boys of this market; they are the old guard who used to compete with each other during the good ol' days. Then the newcomer ASML arrived and gradually ate almost their entire market share and eventually nearly drove them out of this market. I am lazy to look for hard numbers right now but I doubt you can fab any wafer at a denser node than ~28nm (Intel's 22nm) with a Nikon/Canon scanner. They have long stopped competing with ASML and do not intend to ever make their own EUV machines.
  • Santoval - Saturday, August 29, 2020 - link

    p.s. Cymer's light source could be replaced by that of another contractor - or they could dual source it. That's not where ASML's bottleneck lies though, so there is no need. The bottleneck that delays the delivery of their scanners, from what I've heard, is the optics. And no other company has the expertise and skilled experts to polish mirrors down to a precision of couple of nanometers *at scale* and within tight deadlines. This is why ASML have invested generously in Zeiss in the last decade or so.

    The smoothness of the optics is important because it places a limit on how fine the features you print can ultimately be. DUV has much laxer requirements, but EUV requires extremely high precision due to its 13.5nm light and due to the switch from lenses to mirrors. As should be obvious there is a finite speed to how fast a mirror can be polished and still meet the target specs.

    So, in all the manufacturing steps of the scanner starting from its design and ending up in assembly and testing, mirror polishing is a step that's an inherent bottleneck. The only to way to speed it up is to do it "in parallel", i.e. hire more mirror polishers (if you can find sufficiently skilled ones), give them more polishing machines to work with and so on. My guess is that this is what Zeiss have been doing to fulfill the high demand.
  • dotjaz - Monday, August 31, 2020 - link

    Who are you to doubt Nikon/Intel? Intel has Nikon scanner for 14/10nm.

    https://www.nikon.com/news/2014/02_nsr-s630d_01.ht...
  • RU482 - Thursday, August 27, 2020 - link

    lol, was just going to say "gonna suck when China invades"
  • Freeb!rd - Thursday, August 27, 2020 - link

    Fab 15 & Fab 18 both in Taiwan. Fab 18 was built for 5nm, Fab 15 for 7nm/7nm+(EUV)

    https://www.tsmc.com/english/campaign/N7plus/index...

    TSMC fab locations:
    https://www.tsmc.com/english/contact_us.htm#TSMC_f...
  • shabby - Thursday, August 27, 2020 - link

    Intel: oh yeah? Well we have 100% of 14nm capacity!
  • MrCommunistGen - Thursday, August 27, 2020 - link

    I realize your comment is meant entirely in jest, but GlobalFoundries and Samsung both have "14nm" nodes as well. Yeah, the 3 aren't equivalent but whatever.
  • alphasquadron - Thursday, August 27, 2020 - link

    Can someone give me some reasons why I should NOT invest in ASML stock? They seem to be the only provider of a technology that everyone needs.
  • SirMaster - Thursday, August 27, 2020 - link

    Everyone needs?

    Seems like only 2-3 companies at most need them and only for so many years before the industry moves on to whatever comes after EUV.

    If the couple compiles who use their machines aren’t expanding their production capacity then they aren’t going to order more machines from ASML.

Log in

Don't have an account? Sign up now