TSMC on Friday formally opened its Advanced Backend Fab 6 facility, which it will be using to expand the company's capacity for building high-end, multi-chiplet products. The facility is said to be the first all-in-one automated fab offering 3DFabric integration of front-end to back-end process and testing services.

TSMC's Advanced Backend Fab 6 can process about one million 300-mm wafers per year as well as more than 10 million hours of testing per year. The factory occupies 14.3 hectares in Zhunan Science Park and has a cleanroom that is larger than the combined cleanroom spaces of all other TSMC advanced backend fabs, making it TSMC's biggest advanced packaging facility to date, according to the company.

TSMC said that its Advanced Backend Fab 6 is ready for mass production of TSMC-SoIC (System on Integrated Chips) process technology, which includes such frontend 3D stacking techniques as chip-on-wafer (CoW) and wafer-on-wafer (WoW). The fab is also designed to handle advanced backend packaging technologies, such as integrated fan-out (InFO) and chip-on-wafer-on-substrate (CoWoS) that are used for chips like Apple's M2 Ultra, AMD's Instinct MI300, and NVIDIA's A100 and H100.

"Chiplet stacking is a key technology for improving chip performance and cost-effectiveness. In response to the strong market demand for 3D IC, TSMC has completed early deployment of advanced packaging and silicon stacking technology production capacity, and offers technology leadership through the 3DFabric platform," said Dr. Jun He Vice President, Operations / Advanced Packaging Technology & Service, and Quality & Reliability.

Perhaps the most notable feature of TSMC's Advanced Backend Fab 6 is comprehensive five-in-one intelligent automated material handling system that spans over 32 kilometers. The production information, starting from the wafer stage to the die, is integrated with dispatching systems to speed up the manufacturing cycle. This process is augmented with AI to carry out precise process control and real-time defect detection to maximize yields. This is particularly important as when you package a multi-chiplet solution like AMD's MI300 any abnormality on the package level immediately invalidates all the chiplets that are on it causing a loss that costs at least thousands of dollars. The fab's data processing capability per second is 500 times that of a typical front-end fab, which enables a thorough production history for each die and allows the foundry to trace each die it processes at the fab.

"With the production capacity that meets our customers’ needs, we will unleash innovation together and become an important partner that customers trust in the long term," added Jun He.

Comments Locked

1 Comments

View All Comments

  • watersb - Sunday, June 11, 2023 - link

    Here comes the future ...!

Log in

Don't have an account? Sign up now